账号:
密码:
最新动态
产业快讯
CTIMES/SmartAuto / 新闻 /
新思发表DFT Compiler新一代的测试技术
将允许设计工程师顺利地进行数百万逻辑闸之系统单芯片测试

【CTIMES / SMARTAUTO ABC_1 报导】    2002年01月22日 星期二

浏览人次:【2681】

新思科技于日前发表了DFT Compiler新一代的测试技术, Synopsys 的DFT Compiler所加强的新功能中,将允许设计工程师顺利地进行数百万逻辑闸之系统单芯片测试。这些新的功能所带来的先进测试模块化(test modeling)技术,大大地提升了此软件工具的逻辑闸容量以及执行效能。除此之外,新思科技新版本TetraMAX(R)的ATPG延迟测试(delay test)功能现在也提供全方位功能,以协助设计工程师在进行测试的同时也能够侦测到与时序相关的错误,以满足公司严格的质量要求。

新思表示,由今日先进的特殊应用芯片与系统单芯片的大小以及复杂程度看来,设计工程师能否对这些芯片进行有效的测试,对于生产力而言,是一大关键性的挑战。DFT Compiler的新测试模块化技术(test modeling technology)现在可以支持新思科技的实体合成(Physical Synthesis)环境,以完成先进的阶层式DFT流程(Hierarchical DFT Flow)。这项新的模块化技术让DFT Compiler能处理较以往大三倍容量的电路,并提高了七倍速的软件执行速度,同时也在没有影响任何DFT能力的情况下,进行时序与布局的优化。这么一套在业界所广为接受的测试软件工具有如此的容量与执行效能之提升,将帮助设计工程师跟得上设计的成长潮流与复杂度。

德州仪器全球电子设计自动化数字信号处理系统设计事业群总监Mike Fazeli先生表示,「随着设计的大小与复杂度之日渐增加,我们需要一套让设计工程师不仅容易上手而且也浅显易懂的阶层式scan synthesis解决方案 (hierarchical scan synthesis solution),来帮助我们缩短整体的设计周期时间。DFT Compiler新一代的先进阶层式scan synthesis设计流程的功能,能够满足我们对设计复杂度的要求,同时,我们也相信,这些在DFT Compiler中的新功能,可以帮助我们达成在各种不同的设计中增加额外生产力的目标。」

摩扥罗拉3G基频产品的DFT领导者Benoit Bailliet谈到,「对于从TetraMAX DelayTest中所得到的初次结果,我们感到很高兴。在短短几个小时内,我们可以用PrimeTime萃取出在模块级上的重要路径名单,将这些路径映制于系统单芯片层级,并且用TetraMAX ATPG产生路径延迟模块;在同一天,这些模块便可以成功地在硅晶上运作,这对我们在一百万晶体管设计的路径延迟测试方法上,真是一大成就。」

關鍵字: 新思科技  全球电子设计  EDA 
相关新闻
新思科技利用台积公司先进制程 加速新世代晶片创新
是德、新思和Ansys共同开发支援台积电N6RF+制程节点射频设计迁移流程
新思科技与台积电合作 在N3制程上运用从探索到签核的一元化平台
新思科技针对台积电N5A制程技术 推出车用级IP产品组合
新思科技协助越南IC设计人才培育与发展
comments powered by Disqus
相关讨论
  相关文章
» STM32MP25系列MPU加速边缘AI应用发展 开启嵌入式智慧新时代
» STM32 MCU产品线再添新成员 STM32H7R/S与STM32U0各擅胜场
» STM32WBA系列推动物联网发展 多协定无线连接成效率关键
» 开启边缘智能新时代 ST引领AI开发潮流
» ST以MCU创新应用技术潮流 打造多元解决方案


刊登廣告 新聞信箱 读者信箱 著作權聲明 隱私權聲明 本站介紹

Copyright ©1999-2024 远播信息股份有限公司版权所有 Powered by O3  v3.20.1.HK86D0BQ7N8STACUKZ
地址:台北数位产业园区(digiBlock Taipei) 103台北市大同区承德路三段287-2号A栋204室
电话 (02)2585-5526 #0 转接至总机 /  E-Mail: webmaster@ctimes.com.tw