账号:
密码:
最新动态
产业快讯
CTIMES / 文章 /
3D IC与先进封装晶片的多物理模拟设计工具
以完整平台一次解决物理耦合问题

【作者: 籃貫銘】2024年07月25日 星期四

浏览人次:【74】


在半导体制造的发展上,除了不断深探的微缩技术外,另一大方向便是先进封装技术,而其重要性甚至还超过制程的微缩。因为它不仅可以提高晶片的效能、缩小体积、降低功耗,还可以实现更多功能,为电子产品的创新和发展带来更多可能性。


而所谓的先进封装(Advanced Packaging),是一种将多个晶片或元件整合到单一封装中的技术,旨在提高效能、缩小体积、降低功耗,并实现更多功能。相较於传统封装,先进封装采用更复杂的结构、材料和制程,以满足现代电子产品对高整合度、高性能和小型化的需求。


先进封装有以下几个主要类型:


· 2.5D封装(Interposer-based packaging):把多个晶片透过矽中介层(interposer)连接在一起,实现高密度、高效能的互连。


· 3D封装(3DstackedIC):多个晶片垂直堆叠在一起,透过矽穿孔(TSV)连接,实现更小的体积和更短的讯号传输距离。


· 扇出型晶圆级封装(Fan-out wafer-level packaging;FOWLP):将晶片重新分布到更大的面积上,实现更高的I/O密度和更好的散热性能。


· 系统级封装(System-in-package;SiP):将多个不同功能的晶片(如处理器、记忆体、感测器等)整合到单一封装中,实现更高的功能整合度。


所以从技术面来看,先进封装可以应用许多的领域上,包含高效能运算(HPC)、人工智慧(AI)、5G通讯、行动装置与物联网设备等,以满足这些应用对於高速、高传输频宽与小体积的需求。


先进封装晶片的设计挑战

然而相较於传统封装,先进封装技术的复杂度大幅提升,涉及到的物理现象也更加多元,尤其是将多个高性能、同质、异质的晶片进行组合和堆叠的时候。因此,多物理模拟在先进封装设计中变得至关重要。


展开晶片先进封装设计时,通常会面临几个问题,如下:


高密度、高复杂度设计

先进封装通常会整合多个晶片,甚至不同制程的晶片,这导致电气连接、讯号完整性、电源完整性等问题变得更加复杂;另一方面,先进封装的结构更加复杂,几??皆是采行多层结构的设计,包括多层基板、多层重新分布层(RDL)、矽中介层(interposer)等,使得热传导、应力分布等问题。


多物理现象耦合

一个先进封装晶片之中,必然会面临多种物理现象的彼此干扰,包含电热耦合:晶片运作时会产生大量热量,而高温又会影响电气性能,因此需要同时考虑电场和温度场的相互作用;热应力耦合:温度变化会导致材料膨胀或收缩,进而产生应力,应力又会影响材料的电气和机械性能;电磁耦合:高速讯号传输会产生电磁干扰,影响讯号完整性。


可靠性问题

由於高密度、复杂度,加以复杂的物理场现象,因此先进封装晶片经常会面临可靠性的问题,包含热失效:高温会导致晶片和封装材料老化、失效;机械失效:应力过大会导致晶片或封装材料破裂、分层;电迁移:高电流密度会导致金属导线中的原子迁移,最终导致开路或短路。


而为了克服以上的挑战,缩减设计的时程并增加量产的良率,进而降低整体的生产成本,因此导入多物理模拟工具就是当前必然的设计流程。它不仅可以早期发现问题,同时还可以设计优化,提升先进封装晶片的可靠度。


先进封装晶片的多物理模拟工具

Candence

目前在先进封装的设计领域,导入多物理的模拟工具已是重要的开发环节,以Cadence为例,其在3D-IC设计领域的主要解决方案是「Integrity 3D-IC平台」。它是一个完整的平台,整合了系统规划、封装和系统级分析。


该平台具备统一的设计环境,将晶片、基板、封装、电路板和系统设计等层级整合到单一平台中。而为加速3D-IC的开发,Integrity 3D-IC具备完整的规划平台,为所有类型的3D设计提供完整的3D-IC堆叠规划系统,使开发者能够管理和实现各种3D堆叠设计。



图一 : Cadence的整合式3D-IC设计平台「Integrity 3D-IC」,其流程已加入物理模拟的工具。
图一 : Cadence的整合式3D-IC设计平台「Integrity 3D-IC」,其流程已加入物理模拟的工具。

而对3D IC和先进封装晶片来说,热干扰问题更是一个重要的考量因素,因为堆叠的晶片结构会导致散热困难,进而影响效能和可靠性。也因此在设计阶段就要考量散热的问题,例如散热规划和晶片布局等,在初期就考虑散热路径,包括散热器、散热孔、散热材料等,或将高功耗晶片分开放置,避免热点集中。


另一个重点,则是使用热模拟分析工具,例如 Cadence Celsius Thermal Solver,在设计阶段预测温度分布,及早发现并解决热问题。Celsius Thermal Solver是一款全面的电热协同模拟解决方案,适用於 IC、封装和 PCB 等多个层级,可以帮助工程师在设计早期评估和优化热性能。而Cadence也已将Celsius Thermal Solver 等热模拟工具整合到 3D-IC 平台中,实现电热协同设计,从而更有效地解决热干扰问题。


图二 : Cadence的3D IC热分析范例。(source:Cadence)
图二 : Cadence的3D IC热分析范例。(source:Cadence)

Ansys

而在Ansys方面,则是提供Icepak专为电子产品设计的热模拟软体,它能透过计算流体力学(CFD)模拟晶片、封装和系统层级的热传导、对流和辐射,精确预测温度分布和散热效果。对於复杂的3D IC结构,Icepak能有效分析热点和瓶颈,协助工程师优化散热设计。


除了热模拟之外,Ansys也提供RedHawk-SC Electrothermal Ansys Mechanical两款工具,同时分析电源完整性、讯号完整性和热效应,以及评估先进封装中的翘曲、变形和可靠性,特别适用於2.5D/3D多晶片系统之间的耦合作用,协助评估电迁移、热应力与等机械耦合潜在问题。


另外,在电磁方面有Ansys RaptorX,能分析讯号完整性和电源完整性问题,确保高密度、高速讯号在复杂封装结构中的传输品质;Ansys HFSS能模拟天线、射频和微波电路等元件在先进封装中的性能,协助工程师解决电磁干扰问题。


值得注意的是,Ansys的模拟工具已与台积电合作,针对采用台积电3DFabric先进封装技术的3D IC设计,提供经过验证的热分析解决方案,进一步确保 3D IC系统在高性能运作下能维持可靠的温度控制。



图三 : Ansys的热模拟工具Icepak能有效分析热点和瓶颈,协助工程师优化散热设计。(source:Ansys)
图三 : Ansys的热模拟工具Icepak能有效分析热点和瓶颈,协助工程师优化散热设计。(source:Ansys)

Siemens EDA

至於西门子(Siemens EDA)在 3D IC 和先进封装的多物理模拟方面,同样也提供了整合式的解决方案,涵盖热、电、机械和电磁等领域。以Innovator 3D IC为例,这款 3D IC 设计平台能整合设计、验证和制造流程,并提供多物理场模拟功能,协助工程师加速产品开发。


在热模拟方面,Flotherm 3D是一款CFD模拟工具,能分析3D IC和先进封装中的热传导、对流和辐射,精确预测温度分布;Flotherm XT可以模拟电子产品与周围环境的热交换。而Simcenter Flotherm 3D IC则是一款专为 3D IC 设计的热模拟工具能处理复杂的几何结构和多种材料,提供高精度的热分析结果。


Simcenter这款多物理场模拟平台能分析3D IC和先进封装中的机械应力、变形和疲劳,并可结合Simcenter 3D模拟工具能,为3D IC 和先进封装的结构进行3D建模,更深入直观的进行晶片结构与应力的分析。此外, 这款多物理场模拟平台也能分析 3D IC 和先进封装中的电磁干扰和相容性问题。



图四 : Simcenter Flotherm 3D是一款CFD模拟工具,能分析3D IC和先进封装中的热传导、对流和辐射,精确预测温度分布。(source:Siemens EDA)
图四 : Simcenter Flotherm 3D是一款CFD模拟工具,能分析3D IC和先进封装中的热传导、对流和辐射,精确预测温度分布。(source:Siemens EDA)

生成式AI引领设计与模拟工具创新

而在AI技术当道的时代,生成式AI也开始被陆续整合至目前的模拟解决方案之中。


以Cadence为例,其Cerebrus Intelligent Chip Explorer便是一款 AI 驱动的晶片设计工具,能自动探索设计空间,找到最隹的PPA(功耗、性能、面积)平衡点;Joint Enterprise Data and AI(JedAI)Platform,则可整合设计数据和 AI 模型,为整个晶片设计流程提供智能化支持。


另外,Cadence也正持续研究如何利用 AI 加速模拟和验证流程,例如自动生成测试案例、预测设计错误,以及提供除错建议。像是他们的Integrity3D-IC平台便导入生成式AI,实现共同优化,让3D-IC设计流程更简单。


至於Ansys,则是将AI和机器学习技术融入其模拟软体中,例如 Ansys Discovery Live,让工程师能更快地探索设计空间,找到最隹化方案;AI-Assisted Simulation可加速模拟流程,自动生成网格、预测模拟结果,以及提供设计建议。


Ansys也正在研究Physics-Informed Neural Networks(PINNs)技术,将之应用於模拟中,并结合物理模型和数据驱动的方法,提高模拟的准确性和效率。


Siemens EDA则将 AI 应用於生成式设计,例如在电路板设计中自动生成布局方案,节省工程师的时间,并利用 AI 加速验证流程,例如自动生成测试案例、预测设计错误,以及提供除错建议。


工业技术起家的Siemens,也尝试将 AI 与数位孪生(Digital Twin)技术结合,透过模拟和分析产品在真实世界中的表现,协助工程师优化设计。


结语

在3D IC和先进封装领域,多物理模拟的工具导入与使用已成产业界的标配,尤其是半导体领头羊台积电近年来也积极采用之後,更让相关的工具成为显学。而在AI技术崛起之後,Cadence、Ansys、Siemens EDA作为工程物理模拟技术的领先者,也纷纷布局生成式AI,为行业带来革新。尽管各家布局重点不同,但总体目标一致,就是要运用生成式AI提升设计效率、缩短开发周期、降低成本。


生成式AI在设计空间探索、自动化设计生成、智能验证与除错,甚至预测性维护等方面展现出巨大潜力,有??颠覆传统的设计流程,为工程师提供更强大的工具和更优化的解决方案。


相关文章
AI时代里的PCB多物理模拟开发关键
多物理模拟应用的兴起及其发展
爱美科观点:3D IC晶片堆叠技术
晶圆聚焦『封装五大法宝』之五:晶圆级的系统级封装
Cadence:与合作伙伴之间的「信任度」得来不易
comments powered by Disqus
相关讨论
  相关新闻
» 伟康科技携手Denodo打造数据中台 实现跨国即时业务战情室
» 无畏消费者信心下滑 大尺寸电视将推动显示面积需求成长8%
» 国科会推动智慧医疗 展现健康台湾新量能
» NVIDIA AI Foundry为企业打造客制化Llama 3.1生成式AI模型
» 科科旗下Going Cloud 获AWS年度合作夥伴奖


刊登廣告 新聞信箱 读者信箱 著作權聲明 隱私權聲明 本站介紹

Copyright ©1999-2024 远播信息股份有限公司版权所有 Powered by O3  v3.20.1.HK87PDQUM5YSTACUKF
地址:台北数位产业园区(digiBlock Taipei) 103台北市大同区承德路三段287-2号A栋204室
电话 (02)2585-5526 #0 转接至总机 /  E-Mail: webmaster@ctimes.com.tw