账号:
密码:
鯧뎅꿥ꆱ藥 21
是德、新思与Ansys推出台积电4nm RF FinFET制程叁考流程 (2023.10.05)
是德科技、新思科技和宣布,为台积电最先进的4奈米射频FinFET制程技术TSMC N4P RF,推出全新的叁考设计流程。此叁考流程基於Synopsys客制化设计系列家族 (Synopsys Custom Design Family),并整合了Ansys多物理平台,为寻求具有更高预测准确度和生产力的开放式射频设计环境的客户,提供完整的射频设计解决方案
关於台积电的2奈米制程,我们该注意什麽? (2022.07.29)
台积在6月底正式宣布了他们的2nm技术蓝图,有什麽重要性?又会带出哪些半导体制造技术的风向球?本文就从技术演进,以及市场竞争与成本的角度来切入分析。
Mentor全新Analog FastSPICE eXTreme技术 提升10倍验证效能 (2020.08.05)
Mentor, a Siemens business近期推出支援大型、布局後(post-layout)类比设计的奈米级验证Analog FastSPICE eXTreme技术,可大幅提高模拟效能,并确保奈米级类比验证所需的晶圆厂认证准确度
联发科推最新7奈米112G远程SerDes矽智财 (2019.11.18)
面对ASIC市场需求正高速成长,联发科技持续投资,致力於为客户提供一流的ASIC设计服务。随着国际一线的市场客户对独特系统解决方案需求的增加,联发科技积极布局,为客户发展具有高运算能力、高传输速度及低功耗等高度差异化的客制化晶片,为整个通信及消费业者提供发展动力
ANSYS完成最新台积电5奈米FinFET制程技术认证 (2019.04.23)
台积电和ANSYS支援新世代应用电源完整性和可靠度多物理场解决方案 台积电(TSMC)和ANSYS(NASDAQ: ANSS)透过全新认证和完整半导体设计解决方案,帮助共同客户满足新世代行动、网路、5G、人工智慧 (AI)、云端和资料中心应用持续增长的创新需求
Versal:第一款自行调适运算加速平台(ACAP) (2018.11.16)
Versal ACAP为一个完全支援软体编程的异质运算平台,将纯量引擎、自行调适引擎和智慧引擎相结合,落实显著的效能提升。该平台能使用于资料中心、有线网路、5G无线和汽车驾驶辅助等应用
高通推出 10 奈米制程单晶片视觉智慧平台 增强运算功能 (2018.04.13)
行动处理器大厂高通(Qualcomm)宣布推出高通视觉智慧平台(Qualcomm Vision Intelligence Platform)。在该平台中,搭载了首款采用先进 10 奈米 FinFET 制程技术,专门针对物联网(IoT)打造的系统单晶片(SoC)系列━━QCS605 和 QCS603
高通发表专门针对物联网终端的视觉智慧平台 (2018.04.12)
高通技术公司推出高通视觉智慧平台(Qualcomm Vision Intelligence Platform),其中搭载了公司首款采用先进10奈米FinFET制程技术、专门针对物联网(IoT)所打造的系统单晶片(SoC)系列
提升40%的性能 格罗方德将推7奈米FinFET制程技术 (2017.06.15)
提升40%的性能 格罗方德将推7奈米FinFET制程技术 提升40%的性能 格罗方德将推7奈米FinFET制程技术 GLOBALFOUNDRIES CMOS业务部资深副总裁Gregg Bartlett表示,该公司的7奈米FinFET制程技术正在按照计画开发,预期在2018年计划宣布的多样化产品对客户将有强大吸引力
高通Snapdragon 835行动平台推动新一代沉浸式体验 (2017.01.04)
美国高通公司于2017年国际消费电子展(CES 2017)宣布旗下高通技术公司推出搭载X16 LTE数据机功能的最新旗舰行动平台高通Snapdragon 835处理器。该处理器是首款采用10奈米FinFET制程并进行商业化量产的行动平台,将带来高效省电表现
格罗方德展示运用14nm FinFET制程技术的56Gbps长距离SerDes (2016.12.14)
格罗方德公司(GLOBALFOUNDRIES)宣布已证实运用14奈米FinFET制程在矽晶片上实现真正长距离56Gbps SerDes性能。作为格罗方德高性能ASIC产品系列的一部分,FX-14具有 56Gbps SerDes,致力于为提高功率和性能的客户需求而生,亦为应对最严苛的长距离高性能应用需求而准备
小米5s/5s Plus连袂出击 号称特色为搭载高通最强处理器 (2016.10.05)
小米于日前正式揭开最新款手机5s/5s Plus的神秘面纱。该两款手机皆采用行动晶片大厂高通至今最强处理器Snapdragon821,为这两款手机带来了强大的性能保证,从首创「无孔式」指纹辨识到拥有「人眼特性」的双镜头各具特色
Cadence数字与客制/模拟工具通过台积电10nm FinFET制程认证 (2015.04.13)
益华计算机(Cadence)的数字与客制/模拟工具软件已通过TSMC台积公司最新10奈米FinFET制程技术的设计参考手册(Design Rule Manual, DRM)与SPICE模型认证。 Cadence客制/模拟和数字设计实现与signoff工具已获台积电高效能参考设计认证,能够为客户提供在10nm FinFET制程上最快速的设计收敛
先进制程竞赛 Xilinx首重整合价值 (2013.11.20)
由于ASIC的研发成本居高不下,加上近来FPGA不断整合更多的功能,同时也突破了过往功耗过高的问题,尤其当进入28奈米制程之后,其性价比开始逼近ASSP与ASIC,促使FPGA开始取代部分ASIC市场,应用范围也逐步扩张
Xilinx与台积公司合作采用16奈米FinFET制程技术 (2013.05.30)
美商赛灵思公司与台积公司共同宣布连手推动一项赛灵思称之为「FinFast」的项目计划,采用台积公司先进的16奈米FinFET(16FinFET)制程技术打造具备最快上市速度及最高效能优势的可编程逻辑门阵列(FPGA)组件,双方投入所需资源组成一支专属团队,针对FinFET制程与赛灵思的UltraScale架构共同进行优化
ARM:14nm FinFET之路仍有颠簸 (2013.04.26)
自从ARM决定从行动装置跨足到服务器市场后,无不加快自己在制程技术上的脚步,好能跟Intel一决高下,不过当然还是必须协同主要合作伙伴(台积电与三星)的技术进度
FinFET进展超前 台积电飙速甩三星 (2013.04.15)
尽管已经名列全球第一,然而在三星、英特尔等其他晶圆厂的压力之下,台积电仍不敢稍有松懈,不断发展更先进的制程技术。近期台积电已经对外宣示,其FinFET(鳍式场效晶体管)、极紫外光(EUV)等新技术研发及投产进度,已经全部进度拉前
64位ARM近了!采用TSMC 16奈米FinFET制程 (2013.04.02)
去年10月底ARM才宣布新一代 64 位 Cortex-A50 系列处理器将于 2014 年问世的消息,今(2)日又与台积电共同宣布,完成首件采用FinFET制程技术生产的ARM Cortex-A57处理器产品设计定案(tape-out)
台积电:高效能行动GPU成先进制程推力 (2013.03.26)
随着GPU日益成为影响下一代SoC面积、功率和效能的重要关键,以及设计人员可采用的先进硅晶制程选项越来越复杂,因此必须为设计流程和单元库进行优化调校,才能使设计团队在日趋缩短的时程内达成最佳的效能、功耗和芯片面积目标
益华计算机宣布14奈米测试芯片投入试产 (2012.11.21)
益华计算机(Cadence Design Systems, Inc.)宣布,配备运用IBM的FinFET制程技术而设计实现之ARM Cortex-M0处理器的14奈米测试芯片投入试产。成功投产归功于三大技术领袖的密切协作,三大厂商连手建立了一个生态体系,在以FinFET为基础的14奈米设计流程中,克服从设计到制造的各种新挑战


     [1]  2   [下一頁]

  跥Ꞥ菧ꢗ雦뮗
1 Littelfuse单芯超级电容器保护积体电路用於增强型备用电源解决方案
2 Western Digital全新极速8TB桌上型SSD释放数位创作无限可能
3 凌华科技新款显示卡搭载Intel Arc A380E GPU
4 LitePoint携手三星电子进展 FiRa 2.0新版安全测距测试用例
5 爱德万测试发表V93000 EXA Scale SoC测试系统超高电流电源供应板卡
6 Microchip新型PIC32CK 32位元微控制器搭载硬体安全模组
7 意法半导体新款高压侧开关整合智慧多功能 提供系统设计高弹性
8 Nordic Semiconductor全面推出nRF Cloud设备管理服务
9 安提国际MegaEdge系列新品为边缘AI推论与电脑视觉应用赋能
10 长阳生医推出Miicraft光固化3D列印机 协助牙科提升医疗能量

AD

刊登廣告 新聞信箱 读者信箱 著作權聲明 隱私權聲明 本站介紹

Copyright ©1999-2024 远播信息股份有限公司版权所有 Powered by O3
地址:台北市中山北路三段29号11楼 / 电话 (02)2585-5526 / E-Mail: webmaster@ctimes.com.tw