账号:
密码:
相关对象共 14816
(您查阅第 740 页数据, 超过您的权限, 请免费注册成为会员后, 才能使用!)
生成式AI助功率密集的计算应用进化 (2024.06.13)
业界需要一种新的供电架构来控制生成式AI训练模型的能源消耗
台湾AI关键元件的发展现况与布局 (2024.06.13)
就人工智慧(AI)装置的硬体来看,关键的零组件共有四大块,分别是逻辑运算、记忆体、PCB板、以及散热元件。他们扮演着建构稳定运算处理的要角,更是使用者体验能否优化的重要辅助
AI带来的产业变革与趋势 (2024.06.13)
随着2025年AI PC软硬整合更完备,将成为推动产业复苏的关键动力;AI伺服器受惠於生成式AI大型语言模型、企业内部模型微调等因素导致需求持续上升,成为2024年伺服器市场的主要驱动力
贸泽即日起供货Renesas搭载内部设计RISC-V CPU核心的32位元MCU (2024.06.11)
提供半导体与电子元件、专注於新产品导入的授权代理商贸泽电子(Mouser Electronics)即日起供货Renesas Electronics的R9A02G021低功耗微控制器(MCU)。R9A02G021是Renesas首款通用型32位元MCU系列
[COMPUTEX]Synaptics以感测、感知及无线连接为展示重点 (2024.06.06)
Synaptics於台北国际电脑展COMPUTEX 2024展示具有嵌入式处理器的多元产品,例如Astra AI原生物联网平台提供当前产业AI需求的架构、扩充性与灵活性,得以解决功耗隐私与延迟等问题
2024.6(第391)期)AI PC ━迎接电脑产业新典范 (2024.06.06)
生成式应用当道,未来所有的内容创作,都将跟AI密不可分, 只有云端平台的服务是远远不够,在终端与边缘的枝开叶散, 才是生成式AI应用的最终愿景。 对创作者来说
[COMPUTEX] 信??展出新一代BMC晶片及全景智慧视觉化远端管理应用 (2024.06.05)
因应云端服务中优化运算的趋势,信??科技在台北国际电脑展COMPUTEX 2024首次亮相第八代远端伺服器管理晶片(Baseboard Management Controller;BMC)AST2700系列,这一款采用12奈米先进制程技术BMC晶片
[COMPUTEX] 英特尔重新定义运算效能 强化AI PC发展力道 (2024.06.05)
英特尔在2024台北国际电脑展期间,展示横跨资料中心、云端、网路、边缘运算和PC等领域的多项技术和架构。英特尔藉由运算效能、能源效率,以及降低客户总持有成本等优势,全面助客户掌握AI商机
台达「解密Cloud to Edge AI」 展出电源散热基础方案 (2024.06.05)
台达今(5)日於台北国际电脑展(COMPUTEX TAIPEI 2024)聚焦AI人工智慧,以「解密 Cloud to Edge AI」为主题,全方位展出涵盖云端到边缘的资料中心基础设施方案,以及应用於AI运算及终端设备的高效电源、散热、被动元件等领先技术,包含多款首次亮相的AI伺服器电源及液冷散热方案、领先全球的晶片垂直供电技术等,持续驱动AI产业发展
2024.6(第3910期)AI PC 迎接电脑产业新典范 (2024.06.05)
AI PC的普及需要软硬体共同发展,在处理器、记忆体和作业系统等技术的进步, 应用将更加丰富,并成为未来PC产业的重要趋势。 处理器大厂正在AI PC投注大量资源,提供独特的解决方案
英特尔高层叁访友通深化AIoT布局 共同展出AI智慧节能充电桩概念机 (2024.06.04)
如今「Edge AI边缘运算」议题持续受到国内外大厂关注,英特尔资深??总裁暨网路与边缘事业部总经理Sachin Katti今(4)日特别叁访友通资讯展位,双方针对共同展出的AI智慧节能充电桩概念机展开技术交流
Arm:真正使Arm与众不同的 是软体生态系 (2024.06.04)
在 2024 年台北 Computex ,Arm CEO Rene Haas 分享了该公司如何在 2025 年前,实现从云端到边缘1000 亿台 AI就绪的 Arm 装置。 Haas认为,虽然我们在 AI 领域看到惊人的创新,但这个产业正处於一个有趣的两难处境:处理 AI 效能需求的处理器越好,能源需求就越大
凌华科技ARM开放式架构触控电脑正式上市 (2024.06.04)
边缘运算解决方案全球领导品牌凌华科技(ADLINK)正式推出 SP2-IMX8 7 寸/10 寸开放式架构触控电脑 (配备 2.5 寸 Pico-ITX SBC)。这款真正灵活弹性的ARM架构解决方案,亦可配置为媒体闸道器或可携式平板电脑,并且赢得Embedded World 2024在电脑主机板、系统、组件和周边装置类别中Best In Show大奖殊荣
COMPUTEX 2024--宏正以AI赋能与视觉管理打造地震应变中心 (2024.06.03)
宏正自动科技(ATEN International)於6月4日至6月7日於台北国际电脑展 (COMPUTEX 2024)展示多项前瞻应用解决方案。此次展会以「AI赋能:创新连结,沉浸体验」为主题,宏正展示获得国际设计奖项三冠王的旗舰级电视墙影像处理器
IDC:2027年全球车用半导体市场营收将突破85亿美元 (2024.05.31)
根据IDC(国际数据资讯) 「全球车用半导体生态系与供应链」研究,随着汽车产业向数位化和智慧化迈进,全球车用半导体市场正在经历前所未有的成长。IDC预测,随着高级驾驶辅助系统(ADAS)、电动车(EV)以及车联网(IoV)的普及,对高性能运算晶片(HPC)、影像处理器(IPUs)、雷达晶片及雷射雷达感测器等半导体的需求正日益增加
恩智浦S32N55处理器 实现车辆中央实时控制的超级整合技术 (2024.05.31)
恩智浦半导体(NXP)推出S32N55处理器,这是新型S32N系列车用超级整合处理器的首款装置。S32N55提供可扩展的安全、实时和应用处理组合,满足汽车制造商多样化的中央运算需求
COMPUTEX 2024丽台科技高阶WinFast Mini AI工作站全球首次亮相 (2024.05.30)
COMPUTEX 2024即将於6月4~7日展开,丽台科技(Leadtek)以「扩充性和敏捷性重塑GPU驱动的人工智慧运用」为主题,将展示一系列满足各种规模AI需求的创新产品,包括首次曝光的顶规WinFast Mini AI工作站、以及搭载NVIDIA RTX Ada Lovelace GPU,并支援PCIe Gen5的NVIDIA认证系统,还有适用於大规模AI的NVIDIA HGX H100伺服器等
先进AI视觉系统以iToF解锁3D立体空间 (2024.05.29)
在整个AI产业中,视觉系统扮演极重要的角色。由於iToF对於距离与空间的重现具有高度的可靠度外,还有解析度的优势。本文叙述iToF感测和技术的原理、组成元件、距离计算方式及成像技术的应用
AI PC华丽登场 引领算力为王的时代 (2024.05.28)
AI PC的普及需要软硬体共同发展,在处理器、记忆体和作业系统等技术的进步,AI PC应用将更加丰富,并成为未来PC产业的重要趋势。
AI世代的记忆体 (2024.05.28)
AI运算是专门处理AI应用的一个运算技术,是有很具体要解决的一个目标,而其对象就是要处理深度学习这个演算法,而深度学习跟神经网路有密切的连结,因为它要做的事情,就是资料的辨识


     [1]  2  3  4  5  6  7  8  9  10   [下一頁][下10页][最后一页]

  十大热门新闻
1 爱德万测试发表V93000 EXA Scale SoC测试系统超高电流电源供应板卡
2 [COMPUTEX] 慧荣全新USB显示单晶片 抢攻多萤与超高解析扩充市场
3 [COMPUTEX] Supermicro机柜级随??即用液冷AI SuperCluster支援NVIDIA Blackwell
4 Microchip全新车载充电器解决方案支援车辆关键应用
5 安勤为自主机器智能打造新款 AI 工业电脑
6 贸泽即日起供货Renesas搭载内部设计RISC-V CPU核心的32位元MCU
7 COMPUTEX 2024丽台科技高阶WinFast Mini AI工作站全球首次亮相
8 安森美第7代IGBT模组协助再生能源简化设计并降低成本
9 凌华科技ARM开放式架构触控电脑正式上市
10 凌华全新ASD+企业系列SSD固态硬碟重塑大数据应用高效安全储存

刊登廣告 新聞信箱 读者信箱 著作權聲明 隱私權聲明 本站介紹

Copyright ©1999-2024 远播信息股份有限公司版权所有 Powered by O3
地址:台北市中山北路三段29号11楼 / 电话 (02)2585-5526 / E-Mail: webmaster@ctimes.com.tw