账号:
密码:
相关对象共 8532
(您查阅第 427 页数据, 超过您的权限, 请免费注册成为会员后, 才能使用!)
智原加入英特尔晶圆代工设计服务联盟 满足客户高阶应用需求 (2024.06.27)
ASIC设计服务暨IP研发销售厂商智原科技(Faraday)宣布加入英特尔晶圆代工设计服务联盟(Intel Foundry Accelerator Design Services Alliance),此合作是ASIC设计解决方案涵盖人工智慧(AI)、高性能运算(HPC)和智慧汽车等领域,满足客户下一代应用的重要里程碑
英特尔展示首款全面整合光学I/O小晶片 (2024.06.27)
英特尔在整合光学技术以支援高速资料传输的方案上达成重大里程碑。2024年度光学通讯大会(OFC)上,英特尔的整合光学解决方案(IPS)事业部展示业界最先进的首款全面整合光学运算互连(OCI)小晶片,与CPU共同封装并能处理即时资料
友通EC5 系列嵌入式系统适合工业自动化的多元应用需求 (2024.06.25)
根据 Emergen Research 预测,由於 AIoT嵌入式装置和自动化在制造、医疗保健和物流等众多产业持续增长,预估至2032 年,全球嵌入式系统市场总值将达到 1,694 亿美元。友通资讯推出最新的EC5 系列嵌入式系统,包含 EC543-ADS、EC510-ADS、EC511-ADS 和 EC500-ADS
市场需求上升 全球半导体晶圆厂产能持续攀升 (2024.06.24)
SEMI国际半导体产业协会公布最新一季全球晶圆厂预测报告(World Fab Forecast)中指出,晶片需求不断上升带动全球半导体晶圆厂产能持续成长,2024 年及 2025 年预计将各增加 6% 及 7%,月产能达到创纪录的 3,370 万片晶圆(wpm:约当8寸)历史新高
柏斯托与英特尔Open IP先进液冷团队 开发新型合成散热液 (2024.06.13)
在AI应用需求不断推升之下,科技业者持续投入AI布建以提升运算能力,液冷散热技术成为资料中心达成高密度部署的关键。从晶片制造商,再到资料中心ODM、终端使用者OEM,以及散热相关生态系业者均投身先进液体冷却技术的研发,不仅要满足高热通量需求,更朝降低能源耗损、永续发展等议题深入研究
台湾AI关键元件的发展现况与布局 (2024.06.13)
就人工智慧(AI)装置的硬体来看,关键的零组件共有四大块,分别是逻辑运算、记忆体、PCB板、以及散热元件。他们扮演着建构稳定运算处理的要角,更是使用者体验能否优化的重要辅助
AI带来的产业变革与趋势 (2024.06.13)
随着2025年AI PC软硬整合更完备,将成为推动产业复苏的关键动力;AI伺服器受惠於生成式AI大型语言模型、企业内部模型微调等因素导致需求持续上升,成为2024年伺服器市场的主要驱动力
你能为AI做什麽? (2024.06.13)
2024年COMPUTEX的主题为「AI串联 共创未来」,全球AI硬体产业的巨头,都会为了这个AI加速的时代聚集在此,共同促进AI全面应用在各行各业上而大显身手。
英特尔与迈?合作开发SuperFluid先进冷却技术 (2024.06.11)
在市场全面拥抱AI应用的情况下,如何解决大量运算工作负载伴随的废热、满足高密度部署的散热需求、同时间还要兼顾环境永续发展?不少厂商推出资料中心伺服器液体冷却解决方案
瑞士晶片商Kandou:看好AI引领高速传输需求 (2024.06.11)
人工智慧(AI)正在重??电子科技的发展轮廓,除了逻辑处理单元与记忆体开始改朝换代,相关的I/O传输技术也必须跟着推陈出新。看准这个趋势,一家瑞士晶片商Kandou也现身今年的COMPUTEX展场上,以独家的高速传输技术要在AI世代中一展拳脚
COMPUTEX 2024圆满落幕 吸引超过8万人进场叁观 (2024.06.07)
2024年台北国际电脑展(COMPUTEX 2024)於今(7)日圆满落幕,作为全球领先的AIoT和新创产业展览,今年以「AI串联、共创未来(Connecting AI)」为主轴,成功吸引世界级重量买主叁与年度科技产业盛会
[COMPUTEX] 英特尔重新定义运算效能 强化AI PC发展力道 (2024.06.05)
英特尔在2024台北国际电脑展期间,展示横跨资料中心、云端、网路、边缘运算和PC等领域的多项技术和架构。英特尔藉由运算效能、能源效率,以及降低客户总持有成本等优势,全面助客户掌握AI商机
【COMPUTEX】明基隹世达聚焦Smart+ AI Now 整合助攻客户接轨AI世代 (2024.06.04)
明基隹世达集团今年COMPUTEX以「Smart+ AI Now」主轴,透过AI科技为各产业赋能,加速场域应用系统整合创新,以绿色展位升级全面展示跨餐饮、教育、企业、交通、制造、网通、娱乐生活等7大领域AI智慧解决方案,更汇集超过20场AI应用讲座,助攻客户接轨AI应用新世代
英特尔高层叁访友通深化AIoT布局 共同展出AI智慧节能充电桩概念机 (2024.06.04)
如今「Edge AI边缘运算」议题持续受到国内外大厂关注,英特尔资深??总裁暨网路与边缘事业部总经理Sachin Katti今(4)日特别叁访友通资讯展位,双方针对共同展出的AI智慧节能充电桩概念机展开技术交流
COMPUTEX 2024丽台科技高阶WinFast Mini AI工作站全球首次亮相 (2024.05.30)
COMPUTEX 2024即将於6月4~7日展开,丽台科技(Leadtek)以「扩充性和敏捷性重塑GPU驱动的人工智慧运用」为主题,将展示一系列满足各种规模AI需求的创新产品,包括首次曝光的顶规WinFast Mini AI工作站、以及搭载NVIDIA RTX Ada Lovelace GPU,并支援PCIe Gen5的NVIDIA认证系统,还有适用於大规模AI的NVIDIA HGX H100伺服器等
工研院叁展COMPUTEX经济部主题馆 聚焦AI、通讯、沉浸现实、绿能永续 (2024.05.30)
迎接今年台北国际电脑展(COMPUTEX 2024)即将於6月4~7日登场,工研院也睽违5年将於「经济部科技研发主题馆」K0806摊位上以「智慧城市引领未来」为主轴,聚焦展示AI人工智慧、新世代通讯、沉浸现实、绿能永续领域等16项资通讯创新技术产业化成果
无线通讯藉ICT软体商整合 (2024.05.29)
面对近年来碳有价时代、人工智慧(AI)浪潮来袭,企业正积极寻求导入AIoT应用加值。尤其是当今5G渗透率已达瓶颈,专频专网成为兵家必争之地,台湾制造业更应该结合利用既有ICT优势
AI PC华丽登场 引领算力为王的时代 (2024.05.28)
AI PC的普及需要软硬体共同发展,在处理器、记忆体和作业系统等技术的进步,AI PC应用将更加丰富,并成为未来PC产业的重要趋势。
从AI PC崛起看处理器大厂产品策略布局 (2024.05.28)
处理器大厂正在AI PC投注大量资源,提供独特的解决方案。 NPU和AI加速晶片可以大幅提升AI PC的运算效率和速度。 GPU的平行运算能力和高输送特性,也将成为AI任务的理想选择
研华推动多元、开放与标准化Edge AI共生 携伴打造最隹应用方案 (2024.05.27)
近期随着台北国际电脑展(COMPUTEX 2024)逐日逼近,人工智慧(AI)可想而知又将成为焦点。台湾工业物联网大厂研华公司也在自家早前举行的「2024研华嵌入式设计论坛」


     [1]  2  3  4  5  6  7  8  9  10   [下一頁][下10页][最后一页]

  十大热门新闻
1 Microchip全新车载充电器解决方案支援车辆关键应用
2 [COMPUTEX] Supermicro机柜级随??即用液冷AI SuperCluster支援NVIDIA Blackwell
3 [COMPUTEX] 慧荣全新USB显示单晶片 抢攻多萤与超高解析扩充市场
4 R&S推出RT-ZISO隔离探针测量系统 用於快速切换信号精确测量
5 安森美第7代IGBT模组协助再生能源简化设计并降低成本
6 贸泽即日起供货Renesas搭载内部设计RISC-V CPU核心的32位元MCU
7 安勤为自主机器智能打造新款 AI 工业电脑
8 凌华科技ARM开放式架构触控电脑正式上市
9 COMPUTEX 2024丽台科技高阶WinFast Mini AI工作站全球首次亮相
10 FlexEnable柔性显示技术创新产品目前已出货

刊登廣告 新聞信箱 读者信箱 著作權聲明 隱私權聲明 本站介紹

Copyright ©1999-2024 远播信息股份有限公司版权所有 Powered by O3
地址:台北市中山北路三段29号11楼 / 电话 (02)2585-5526 / E-Mail: webmaster@ctimes.com.tw