账号:
密码:
相关对象共 2842
(您查阅第 11 页数据, 超过您的权限, 请免费注册成为会员后, 才能使用!)
慧荣科技於FMS 2024推出高效PCIe Gen5 SSD控制晶片 (2024.08.07)
慧荣科技针对AI PC和游戏主机设计推出一款PCIe Gen5 NVMe 2.0消费级SSD控制晶片SM2508。SM2508是全球首款采用台积电6奈米EUV制程的PCIe Gen5消费级SSD控制晶片,相较於竞争厂商的12奈米制程,大幅降低功耗50%
SEMICON Taiwan秀台湾聚落实力 先进封装技术与设备成焦点 (2024.08.05)
台湾在半导体制程及封装技术方面位居全球领先地位,拥有多家世界顶尖的晶圆代工、封测厂及全球最完整的半导体聚落,亦带动周边产业链的技术发展。即将於9月4~6日举办的SEMICON Taiwan 2024 国际半导体展
AI智慧生产竞筑平台 (2024.08.01)
受惠於近年来生成式人工智慧(Generative AI, GenAI)题材持续发酵,由 NVIDIA 带动的AI热潮,使得台湾在 AI制造供应链角色备受瞩目。台湾资通讯、电子零组件占有出囗比重与日俱增
多协议通讯系统提供更丰富的使用者体验 (2024.07.30)
无线标准呈现明显趋势,朝向提供更高的无线性能、更大的频宽和更低的延迟发展。
当工业4.0碰到AI (2024.07.26)
未来一年中,制造商的前三大重点投资包含GenAI、协作型机器人、自主移动机器人(AMR)与自动引导车(AGV)。从数据看未来,AI智慧生产很快将成为全球制造业日常。
3D IC与先进封装晶片的多物理模拟设计工具 (2024.07.25)
在3D IC和先进封装领域,多物理模拟的工具的导入与使用已成产业界的标配,尤其是半导体领头羊台积电近年来也积极采用之後,更让相关的工具成为显学。
政策指引境外关内布局 (2024.07.19)
因为机械业多数仍在台湾生产,将更容易受到汇率波动而影响接单能力,期盼能在经济部积极落实「境内关外」政策之际,可??有所突破!
成大、日本东工大及国研院台湾半导体研究中心联盟 突破半导体新世代异质整合科技 (2024.07.11)
现今的晶片缩小技术已近??物理极限,先进封装的异质整合成为半导体科技持续发展的重要关键。国立成功大学、日本东京工业大学与国研院台湾半导体研究中心携手,要在既有的合作基础加以强化学术量能与产业链结,强化半导体产业竞争力与培育高阶人才,因应 AI 世代科技发展的需求
应材发表新晶片布线技术 实现AI更节能运算 (2024.07.09)
基於现今人工智慧(AI)时代需要更节能的运算,尤其是在晶片布线和堆叠方式对於效能和能耗至关重要。应用材料公司今(9)日於美国SEMICON WEST 2024展会,发表两项新材料工程创新技术,旨在将铜互联电网布线微缩到2奈米及以下的逻辑节点,以协助晶片制造商扩展到埃米时代,来提高电脑系统的每瓦效能
满足你对生成式AI算力的最高需求 (2024.07.02)
这次介绍AIPC的处理器晶片。它是来自於AMD,日前才在COMPUTEX2024的展会上发表的「RyzenAI300系列处理器」。
高速运算平台记忆体争霸 (2024.07.02)
各类AI应用的市场需求庞大,各种记忆体的竞争也异常的激烈,不断地开发更新产品,降低成本,企图向上向下扩大应用,只有随时保持容量、速度与可靠度的优势才是王道
记忆体应用发展的关键指标 (2024.07.01)
记忆体发展轨迹是随着越来越庞大的运算与感测功能而亦步亦趋,其应用发展的关键指标就会以容量、速度为重点来观察。当容量与速度越来越大、越来越快,可靠度也是未来发展的关键指标
新唐科技全新M2L31 微控制器满足高效能嵌入式计算需求 (2024.06.25)
高效能及低功耗成为产品设计的重要关键之一,新唐科技推出全新的 Arm Cortex-M23 M2L31 微控制器系列。为满足对高效能嵌入式计算需求日益增长的需求。新唐 NuMicro M2L31 微控制器,采用 Arm Cortex-M23 核心,并配有 64 到 512 Kbytes 的 ReRAM(电阻式记忆体)和 40 到 168 Kbytes 的 SRAM,是一款为可持续性和优异能效设计的低功耗产品
市场需求上升 全球半导体晶圆厂产能持续攀升 (2024.06.24)
SEMI国际半导体产业协会公布最新一季全球晶圆厂预测报告(World Fab Forecast)中指出,晶片需求不断上升带动全球半导体晶圆厂产能持续成长,2024 年及 2025 年预计将各增加 6% 及 7%,月产能达到创纪录的 3,370 万片晶圆(wpm:约当8寸)历史新高
工研生医厌25周年 以研发链结产医打造健康产业护国群山 (2024.06.19)
工研院生医所25年来在「创新发明、聚落联盟、产业化成果、国际荣耀」四项领域树立亮眼里程碑,今(19)日举办「跨域生医 卓越25」记者会展示成果。工研院不只是半导体护国群山的孵化器
台湾AI关键元件的发展现况与布局 (2024.06.13)
就人工智慧(AI)装置的硬体来看,关键的零组件共有四大块,分别是逻辑运算、记忆体、PCB板、以及散热元件。他们扮演着建构稳定运算处理的要角,更是使用者体验能否优化的重要辅助
AI带来的产业变革与趋势 (2024.06.13)
随着2025年AI PC软硬整合更完备,将成为推动产业复苏的关键动力;AI伺服器受惠於生成式AI大型语言模型、企业内部模型微调等因素导致需求持续上升,成为2024年伺服器市场的主要驱动力
瑞士晶片商Kandou:看好AI引领高速传输需求 (2024.06.11)
人工智慧(AI)正在重??电子科技的发展轮廓,除了逻辑处理单元与记忆体开始改朝换代,相关的I/O传输技术也必须跟着推陈出新。看准这个趋势,一家瑞士晶片商Kandou也现身今年的COMPUTEX展场上,以独家的高速传输技术要在AI世代中一展拳脚
世界先进与NXP合资兴建12寸晶圆厂 主攻类比电源晶片应用 (2024.06.05)
世界先进积体电路股份有限公司和恩智浦半导体(NXP Semiconductors)今(5)日宣布,计画於新加坡共同成立VisionPower Semiconductor Manufacturing Company(VSMC)合资公司,以兴建一座十二寸(300mm)晶圆厂
[COMPUTEX] 联发科将全面推动混合AI运算 打造生成式未来 (2024.06.04)
联发科技??董事长暨执行长蔡力行今日於COMPUTEX 2024发表主题演讲,强调生成式AI将引领未来科技发展,而联发科也将凭藉先进的运算技术,从边缘装置到云端,全面推动混合式AI运算


     [1]  2  3  4  5  6  7  8  9  10   [下一頁][下10页][最后一页]

  十大热门新闻
1 艾迈斯欧司朗与greenteg创新体温监测技术 为耐力运动领域带来新变革
2 美光最低延迟创新主记忆体MRDIMM正式送样
3 Infortrend U.2 NVMe储存系统赋能机场AI自助服务亭加速时程
4 Ceva蜂巢式物联网平台整合至意法半导体NB-IoT工业模组
5 凌华全新IMB-C系列ATX主机板满足不同产业及应用需求
6 意法半导体36V工业和汽车运算放大器 兼具高性能、高效能与省空间特性
7 Littelfuse扩展ITV 5安培额定电流电池保护器系列
8 资通电脑ARES PP以AI文件解密异常行为侦测判定准确率达八成以上
9 igus新型XXL卡车於欧洲各地移动路演
10 德承最新紧凑节能型工业电脑具备强固可靠特性

刊登廣告 新聞信箱 读者信箱 著作權聲明 隱私權聲明 本站介紹

Copyright ©1999-2024 远播信息股份有限公司版权所有 Powered by O3
地址:台北市中山北路三段29号11楼 / 电话 (02)2585-5526 / E-Mail: webmaster@ctimes.com.tw