账号:
密码:
相关对象共 2702
(您查阅第 4 页数据, 超过您的权限, 请免费注册成为会员后, 才能使用!)
SEMI:2024年全球半导体设备总销售额创新高 (2024.07.11)
SEMI国际半导体产业协会公布年中整体 OEM 半导体设备预测报告,预估 2024 年全球半导体制造设备销售总额将较去年同比增长 3.4%,攀上 1,090 亿美元新纪录,成长力道也将延续至 2025 年,在前、後段制程需求共同驱动下,销售总额可??再创历史新高,来到 1,280 亿美元
市场需求上升 全球半导体晶圆厂产能持续攀升 (2024.06.24)
SEMI国际半导体产业协会公布最新一季全球晶圆厂预测报告(World Fab Forecast)中指出,晶片需求不断上升带动全球半导体晶圆厂产能持续成长,2024 年及 2025 年预计将各增加 6% 及 7%,月产能达到创纪录的 3,370 万片晶圆(wpm:约当8寸)历史新高
意法半导体於义大利打造世界首座一站式碳化矽产业园区 (2024.06.24)
意法半导体(STMicroelectronics,ST),将於义大利卡塔尼亚打造一座结合8寸碳化矽(SiC)功率元件和模组制造、封装、测试於一体的综合性大型制造基地。透过整合同一地点现有之碳化矽基板制造厂,意法半导体将打造一个碳化矽产业园区,达成在同一个园区内全面垂直整合制造及量产碳化矽之愿景
联电首推22eHV平台促进下世代智慧型手机显示器应用 (2024.06.21)
联华电子新推出22奈米嵌入式高压(eHV)技术平台,为先进的显示器驱动晶片解决方案,推动未来高阶智慧型手机和移动装置显示器的发展。22eHV平台具有电源高效能,,协助客户开发体积更小、效能更高的显示器驱动晶片,为行动装置制造商提高产品电池寿命,同时提供最隹化的视觉体验
工研院探讨生成式AI驱动半导体产业 矽光子与先进封装成关键 (2024.06.21)
在工研院连续举办两天的「生成式AI驱动科技产业创新与机遇系列研讨会」第二天(20日)场次,同样由产学专家深度剖析生成式AI带来的半导体产业机会,共涵盖IC设计、制造到封装各阶段,协助业者掌握晶片设计、制造与封装的最新进展,并指出矽光子与先进封装将是未来应用发展关键
CGD与Qorvo合作开发马达控制应用的GaN叁考设计及评估套件 (2024.06.07)
无晶圆厂洁净技术半导体Cambridge GaN Devices(CGD)致力於开发氮化??(GaN)器件,近日与全球连接和电源解决方案供应商Qorvo合作开发 GaN 在马达控制应用中的叁考设计和评估套件(EVK)
ASML与imec成立High-NA EUV微影实验室 (2024.06.05)
比利时微电子研究中心(imec)与艾司摩尔(ASML)共同宣布,双方於荷兰费尔德霍温合作开设的高数值孔径极紫外光(high-NA EUV)微影实验室正式启用,为尖端的逻辑、记忆体晶片商以及先进的材料、设备商提供第一部高数值孔径(high-NA)极紫外光(EUV)曝光机原型TWINSCAN EXE:5000以及相关的制程和量测工具
世界先进与NXP合资兴建12寸晶圆厂 主攻类比电源晶片应用 (2024.06.05)
世界先进积体电路股份有限公司和恩智浦半导体(NXP Semiconductors)今(5)日宣布,计画於新加坡共同成立VisionPower Semiconductor Manufacturing Company(VSMC)合资公司,以兴建一座十二寸(300mm)晶圆厂
CGD与工研院合作开发氮化??电源 (2024.05.31)
无晶圆厂洁净技术半导体公司Cambridge GaN Devices(CGD)与工业技术研究院(ITRI)签署合作备忘录,以巩固双方在开发高性能氮化??USB-PD适配器的合作夥伴关系。 CGD致力於开发多种节能的氮化??(GaN)器件,以实现更环保的电子元件
AI世代的记忆体 (2024.05.28)
AI运算是专门处理AI应用的一个运算技术,是有很具体要解决的一个目标,而其对象就是要处理深度学习这个演算法,而深度学习跟神经网路有密切的连结,因为它要做的事情,就是资料的辨识
TrendForce:美关税壁垒加速转单 台晶圆厂产能利用率上升 (2024.05.23)
继美国白宫5月14日宣布对中国大陆进囗产品加徵关税之後,其中决议在2025年前对大陆制造的半导体产品课徵高达50%关税。依TrendForce观察,此举恐加速供应链出现转单潮,台系晶圆代工厂产能利用率上升幅度优於预期
国科会协助产业均衡发展 AI与半导体为要项 (2024.05.22)
国科会新任主委吴诚文率团队於今(22)日举办媒体见面茶会,说明新政府上任,致力发展五大信赖产业,吴诚文表示,在五大信赖产业中, AI与半导体是基础的部分,并强调低轨卫星、军工产业及安控(数位科技)的重要性
SEMI:2024年首季全球半导体制造业多项关键指标上扬成长可期 (2024.05.18)
SEMI 国际半导体产业协会与TechInsights共同发布2024年第一季半导体制造监测报告,显示全球半导体制造业首季电子产品销售升温、库存稳定以及晶圆厂装机容量增加等诸多正向发展外,并预估下半年产业成长力道将更为强劲
SEMI:2024年首季全球矽晶圆出货总量下滑5% (2024.05.03)
SEMI国际半导体产业协会旗下矽产品制造商委员会 (SMG) 发布最新晶圆产业分析季度报告指出,2024年第一季全球矽晶圆出货量较上一季减少5.4%,降至2,834百万平方英寸 (MSI),较去年同期3,265百万平方英寸同比下跌13.2%
联电首项RFSOI 3D IC整合解决方案加速5G时代创新 (2024.05.02)
在行动、物联网和虚拟实境的装置中,必须同时容纳更多频段来实现更快的资料传输,致使5G/6G智慧手机频段需求增加。联华电子今(2)日推出业界首项RFSOI制程技术的3D IC解决方案
宜特2024年第一季合并营收突破10亿元 展现验证分析布局力道 (2024.04.26)
电子产品验证服务公司宜特科技今(26)日公布2024年第1季合并财务报表损益情形。宜特表示,2024年第一季,不受农历年过年工作天数减少因素影响,且在高阶晶片验证订单加持,营运表现亮眼
Microchip扩大与台积电夥伴关系 日本建立专用40奈米制程产能 (2024.04.09)
Microchip宣布,扩大与台积电的合作夥伴关系,在台积电位於熊本的控股制造子公司日本先进半导体制造公司,建立专用40 奈米产线。 此次合作是 Microchip 强化供应链韧性的持续策略的一部分
报告:2024年智慧手机AP-SoC晶片组市场回春 (2024.04.08)
经过两年下跌,AP-SoC晶片组市场出货量将在2024年年增9%。根据Counterpoint Research的报告,主要成长动力由於苹果和高通旗舰机款从4-5奈米移转到3奈米,先进制程是成为关键成长动能
SEMI:2027年12寸晶圆厂设备支出 可??达1,370亿美元新高 (2024.03.22)
SEMI国际半导体产业协会发布《12寸晶圆厂2027年展??报告(300mm Fab Outlook Report to 2027) 》指出,由於记忆体市场复苏以及对高效能运算和汽车应用的强劲需求,全球用於前端设施的12寸 晶圆厂设备支出预估在2025年首次突破1,000亿美元,到2027年将达到1,370亿美元的历史新高
imec推出首款2奈米制程设计套件 引领设计路径探寻 (2024.03.11)
於日前举行的2024年IEEE国际固态电路会议(ISSCC)上,比利时微电子研究中心(imec)推出一款开放式制程设计套件(PDK),该套件配备一套由EUROPRACTICE平台提供的共训练程式


     [1]  2  3  4  5  6  7  8  9  10   [下一頁][下10页][最后一页]

  十大热门新闻
1 Basler 新型 ace 2 V相机具备 CoaXPress 2.0 介面
2 明纬推出CF系列:12V/24V COB LED灯带
3 FlexEnable柔性显示技术创新产品目前已出货
4 Microchip多核心64位元微处理器支援智慧边缘设计
5 Basler新型 CXP-12线扫描相机具备8k和16k解析度
6 英飞凌CoolSiC MOSFET 400 V重新定义 AI 伺服器电源功效
7 ROHM超小型CMOS运算放大器适用於智慧手机和小型物联网应用
8 Emerson新型气动阀提供自动化高度灵活性和优化流量
9 友通EC5 系列嵌入式系统适合工业自动化的多元应用需求
10 u-blox新款LTE Cat 1bis蜂巢式模组实现全球连网能力

刊登廣告 新聞信箱 读者信箱 著作權聲明 隱私權聲明 本站介紹

Copyright ©1999-2024 远播信息股份有限公司版权所有 Powered by O3
地址:台北市中山北路三段29号11楼 / 电话 (02)2585-5526 / E-Mail: webmaster@ctimes.com.tw