账号:
密码:
CTIMES / 半导体
科技
典故
Intel的崛起-4004微处理器与8080处理器

Intel因为受日本Busicom公司的委托设计芯片,促成了4004微处理器的诞生,也开启了以单一芯片作成计算器核心的时代。1974年,Intel再接再厉研发出8080处理器,和4004微处理器同为CPU的始祖,也造就了Intel日后在中央处理器研发的主导地位。
ST:激发智慧生产 为客户赋予永续技术创新 (2022.12.15)
意法半导体2022年工业高峰会的主题是「激发智慧,持续创新」,重点关注透过各种方式赋予「永续」和「技术创新」。各个国家、各个企业和每个人都应贡献自己的一份力量
Ansys标准签核工具通过GlobalFoundries 22FDX认证 (2022.12.12)
Ansys宣布 Ansys RedHawk-SC、Ansys RaptorH 和 Ansys HFSS 半导体工具通过 GlobalFoundries 针对旗舰 22FDX 平台的认证。通过 GlobalFoundries 认证,晶片设计人员能在不影响可靠度或设计元件间相互影响的风险下,减少不必要的安全限度 (safety margin) 进而提升系统效能并降低成本
意法半导体与Soitec携手开发SiC基板制造技术 (2022.12.08)
意法半导体(STMicroelectronics,ST)与半导体材料设计制造公司Soitec宣布下一阶段的碳化矽(Silicon Carbide,SiC)基板合作计画,意法半导体准备於18个月内完成Soitec碳化矽基板技术产前认证测试
KLA推出X射线量测系统 解决记忆体晶片制造量测挑战 (2022.12.07)
KLA 公司宣布推出 Axion T2000 X射线量测系统,供先进的记忆体晶片制造商使用。3D NAND 及 DRAM 晶片的制造包含极高结构之精密构造,具有深层、狭窄的孔洞和间隙,以及其它复杂精细的建构形状:这些都需要控制在奈米尺度的等级
无线工业节点上的多感测器AI资料监控架构 (2022.11.30)
FP-AI-MONITOR1为无线工业节点上之多感测器AI资料监控架构,本模组有助於实作和开发以STM32Cube的X-CUBE-AI扩充套件或NanoEdge AI Studio 设计的感测器监控型应用。
TI透过抗辐射和耐辐射塑胶封装技术 扩展航太级产品组合 (2022.11.29)
德州仪器 (TI) 宣布扩大航太级类比半导体产品组合,推出采用高度可靠的塑胶封装产品,并适用於各种太空任务。TI 开发一种被称为太空等级塑胶 (SHP) 的新装元件筛检规范,其可适用於抗辐射产品,并推出符合 SHP 认证的新型类比转数位转换器 (ADC)
u-blox:室外宽频应用将带动GNSS定位新需求 (2022.11.28)
由於Wi-Fi 6E的问世,增加了6GHz的频段,使得资料传输速度大幅提升。目前已经有不少相关的室内AP路由器产品上市,至於室外的应用也预计会迅速普及,相关应用场域包括了市民中心、校园网路、体育场,以及其他户外运动设施等,特别是宽频服务的供应商,包括有线电视运营商和无线网路服务供应商等
爱德万测试发表最新Per-pin数位转换器与比较器 (2022.11.28)
爱德万测试 (Advantest Corporation) 发表最新LCD HP (High-Performance,高效能) Per-pin数位转换器和比较器模组。专为搭配T6391显示驱动测试系统而研发的LCD HP模组,在效能表现上具备两项关键升级
基频IP平台满足大规模MIMO应用需求 (2022.11.27)
现今5G大型基地台预期要支援大规模MIMO,需要更高层级的平行处理来管理更多通道。PentaG-RAN基频IP平台可进行全面性规模调整,包括从专用网路中的小型基地台部署,到支援大规模MIMO和虚拟RAN实作的全方位大型基地台
当机器视觉结合AI技术 推动物联网新进展 (2022.11.27)
在工厂自动化和农业等许多领域都应用到视觉感测技术,虽然看似效果显着,但只有当AI和机器学习被添加到组合中时,该技术才能真正发挥其作用。
ST嵌入式AI解决方案增加简化机器学习开发的进阶功能 (2022.11.25)
为扩大开发工具之功能并加速嵌入式人工智慧(AI)和机器学习(ML)开发专案,意法半导体(STMicroelectronics,ST)推出NanoEdge AI Studio和STM32Cube.AI的升级版本。这两个开发工具有助於将人工智慧和机器学习移转到应用边缘装置
促进可编程增益仪表放大器工作的设计步骤 (2022.11.24)
本文介绍一种促进可编程增益仪表放大器(PGIA)工作的工具和方法,并且逐一介绍各个设计步骤,快速掌握使用新发布的仪表放大器创建精密PGIA所需的外部元组件值。
使用CCS连接器 简化安全EV快速充电 (2022.11.24)
连接器是充电的关键零组件之一。本文叙述电动汽车(EV)的充电级别和模式,并说明关於组合充电系统(CCS)规范的连接器要求,以及其延伸的功能,例如更宽广的工作温度范围和更高的侵入防护等级
美光DDR5记忆体现可支援第4代AMD EPYC处理器 (2022.11.23)
美光科技宣布为资料中心所打造的 DDR5 记忆体现已上市,并可支援已为全新 AMD EPYC 9004 系列处理器进行验证的资料中心。随着现代伺服器将更多处理核心装入 CPU,每个 CPU 核心的记忆体频宽不断减少
恩智浦推出全新类比前端 支援软体定义工厂 (2022.11.22)
恩智浦半导体(NXP Semiconductors)推出全新恩智浦类比前端(N-AFE)系列,应用於工厂自动化的高精确度资料获取和状态监测系统。全新N-AFE系列作为软体可配置(software-configurable)的通用类比输入装置,能帮助推动软体定义工厂,帮助营运者简化智慧工厂的配置流程,并根据不断变化的市场需求轻松调整设置
利用NFC Forum导向标识系统 图标指引NFC走遍世界 (2022.11.21)
意法半导体在《NFC提升使用者体验设计需考量之因素》白皮书中新增NFC Forum最新的导向标识系统和指引。本文叙述新导向标识系统推出的原因,以及如何从中获得最大利益
美光LPDDR5X正式量产 并获生态系采用 (2022.11.21)
美光科技宣布,LPDDR5X 行动记忆体已获高通 Snapdragon 8 Gen 2 纳入叁考设计。Snapdragon 8 Gen 2 为高通公司针对旗舰级手机所推出的最新行动平台,叁考设计主要用途是供品牌业者展示此晶片组在设计智慧型手机时的各项优点,美光 LPDDR5X 亦整合在高通 Snapdragon 8 Gen 2 叁考设计中,成为主要架构的一环,持续受到市场青睐
爱德万针对半导体价值链测试方案 举办年度SoC技术研讨会 (2022.11.21)
爱德万测试持续为广大客户群及使用者举办SoC技术研讨会,今年已迈入第11年。今年爱德万测试於11月24日在新竹喜来登饭店,以Beyond Technology Horizon超越技术视野为主题,举办SoC技术研讨会
CEVA公司宣布执行长交接过渡计画 (2022.11.17)
CEVA宣布现任执行长 Gideon Wertheizer 计画在2022年底退休。CEVA 董事会一致同意任命Amir Panush继任执行长,於2023年1月1日上任。Wertheizer 先生将继续担任 CEVA 董事会成员,并将在近期担任顾问职务,以确保领导层顺利过渡
科林研发台南办公室扩大规模 拓展在台足迹 (2022.11.14)
晶圆制造设备与半导体产业服务供应商 Lam Research 科林研发宣布启用台南新办公室,将着重提供创新产品与技术,推动次世代半导体发展。新办公室除扩大办公空间外,更将支援全球营运、销售、并为在地及全球客户提供卓越服务

  十大热门新闻
1 迈特携手贝壳放大助力硬体产品创业者圆梦
2 MIC:2024年台湾半导体产业产值达4.29兆新台币 成长13.7%
3 意法半导体公布2023年第二季财报 净营收为43.3亿美元
4 联发科技展示前瞻共封装光学ASIC设计平台 为次世代AI与高速运算奠基
5 Seagate正式量产30TB硬碟 为储存产业带来改变
6 意法半导体为STM32 MCU的TouchGFX图形介面设计软体 增加无失真影像压缩和资讯共用功能
7 Cirrus Logic音讯转换器协助音讯产品制造商整合并客制产品
8 TI透过SiC闸极驱动器让电动车行驶里程最大化
9 ST公布2023年第四季和全年财报 2023年全年净营收172.9亿美元
10 瞄准嵌入式领域 IAR持续致提供嵌入式系统开发工具和服务

AD

刊登廣告 新聞信箱 读者信箱 著作權聲明 隱私權聲明 本站介紹

Copyright ©1999-2024 远播信息股份有限公司版权所有 Powered by O3
地址:台北市中山北路三段29号11楼 / 电话 (02)2585-5526 / E-Mail: webmaster@ctimes.com.tw